clash-prelude-1.7.0: Clash: a functional hardware description language - Prelude library

Index - C

ceilingClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
cfRWAClash.Explicit.BlockRam.Model
cfRWBClash.Explicit.BlockRam.Model
cfWWClash.Explicit.BlockRam.Model
ChangedClash.Signal.Trace
CharClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
check 
1 (Function)Clash.Explicit.Verification
2 (Function)Clash.Verification
checkedFromIntegralClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
checkedResizeClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
checkedTruncateBClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
checkI 
1 (Function)Clash.Explicit.Verification
2 (Function)Clash.Verification
checkUnpackUndefClash.Sized.Internal.BitVector
clashCompileErrorClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
clashSimulationClash.Magic, Clash.Explicit.Prelude, Clash.Prelude
clearBitClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ClearOnResetClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude, Clash.Prelude
clearOverflowClash.Num.Overflowing
Clock 
1 (Type/Class)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Signal.Internal
ClockAClash.Signal.Internal
ClockAB 
1 (Type/Class)Clash.Signal.Internal
2 (Data Constructor)Clash.Signal.Internal
ClockBClash.Signal.Internal
clockGenClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ClockN 
1 (Type/Class)Clash.Signal.Internal
2 (Data Constructor)Clash.Signal.Internal
clockNTagClash.Signal.Internal
clockPeriodClash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
clockPeriodsClash.Signal.Internal
ClocksClash.Clocks
clocksClash.Clocks
ClocksCxtClash.Clocks
clocksResetSynchronizerClash.Clocks
ClocksResetSynchronizerCxtClash.Clocks
ClocksSyncClash.Clocks
ClocksSyncClocksInstClash.Clocks
ClocksSyncCxtClash.Clocks
clockTagClash.Signal.Internal
clockTicksClash.Signal.Internal
clockTicksEitherClash.Signal.Internal
clockToDiffClockClash.Explicit.Testbench, Clash.Prelude.Testbench
clockWizardClash.Xilinx.ClockGen
clockWizardDifferentialClash.Xilinx.ClockGen
CLogClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
clogBaseSNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
closeFileClash.Explicit.SimIO
CmpNatClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
CmpSymbolClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
compareClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
compareSNatClash.Promoted.Nat, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
complementClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
complement# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
complement##Clash.Sized.Internal.BitVector
complementBitClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
complementModClash.Sized.Internal.Mod
concatClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
concatBitVector#Clash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
concatMapClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
Conflict 
1 (Type/Class)Clash.Explicit.BlockRam.Model
2 (Data Constructor)Clash.Explicit.BlockRam.Model
ConsClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
Const 
1 (Data Constructor)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Type/Class)Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
constClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ConstraintClash.Prelude
ConstrRepr 
1 (Type/Class)Clash.Annotations.BitRepresentation
2 (Data Constructor)Clash.Annotations.BitRepresentation
ConstrRepr' 
1 (Type/Class)Clash.Annotations.BitRepresentation.Internal
2 (Data Constructor)Clash.Annotations.BitRepresentation.Internal
constrReprToConstrRepr'Clash.Annotations.BitRepresentation.Internal
ConstructorTypeClash.Annotations.BitRepresentation.Deriving
ConstTy'Clash.Annotations.BitRepresentation.Internal
convertReset 
1 (Function)Clash.Explicit.Reset, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
cosClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
coshClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
CounterClash.Class.Counter.Internal, Clash.Class.Counter
counterNameClash.Class.Counter.TH
countLeadingZerosClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
countLeadingZerosBVClash.Sized.Internal.BitVector
countMaxClash.Class.Counter.Internal
countMaxNameClash.Class.Counter.TH
countMinClash.Class.Counter.Internal
countMinNameClash.Class.Counter.TH
countPredClash.Class.Counter
countPredNameClash.Class.Counter.TH
countPredOverflowClash.Class.Counter.Internal
countSuccClash.Class.Counter
countSuccNameClash.Class.Counter.TH
countSuccOverflowClash.Class.Counter.Internal
countTrailingZerosClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
countTrailingZerosBVClash.Sized.Internal.BitVector
coverClash.Explicit.Verification, Clash.Verification
crcClash.Examples.Internal
crcTClash.Examples.Internal
createDomainClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
createMemBlobClash.Explicit.BlockRam.Blob, Clash.Explicit.ROM.Blob, Clash.Prelude.ROM.Blob, Clash.Prelude.BlockRam.Blob, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
crFieldAnnsClash.Annotations.BitRepresentation.Internal
crMaskClash.Annotations.BitRepresentation.Internal
crNameClash.Annotations.BitRepresentation.Internal
crPositionClash.Annotations.BitRepresentation.Internal
crValueClash.Annotations.BitRepresentation.Internal
curryClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
CustomReprsClash.Annotations.BitRepresentation.Internal
CvAlwaysClash.Verification.Internal
CvAndClash.Verification.Internal
CvAssertClash.Verification.Internal
CvAssumeClash.Verification.Internal
CvBeforeClash.Verification.Internal
CvCoverClash.Verification.Internal
CvEventuallyClash.Verification.Internal
CvImpliesClash.Verification.Internal
CvLitClash.Verification.Internal
CvNeverClash.Verification.Internal
CvNextClash.Verification.Internal
CvNotClash.Verification.Internal
CvOrClash.Verification.Internal
cvPassClash.Verification.Internal
cvPropNameClash.Verification.Internal
CvPureClash.Verification.Internal
CvTemporalImpliesClash.Verification.Internal
CvToTemporalClash.Verification.Internal
cycleClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
cycleBothClash.Explicit.BlockRam.Model
cycleOneClash.Explicit.BlockRam.Model