clash-prelude-1.5.0: Clash: a functional hardware description language - Prelude library

Index - R

RamNoOpClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RamOpClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RamReadClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RamWriteClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RationalClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ReadClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readFileClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readFromBiSignalClash.Signal.BiSignal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readIOClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readListClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readLnClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readNew 
1 (Function)Clash.Explicit.BlockRam, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.BlockRam, Clash.Prelude.Safe, Clash.Prelude
readParenClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readRegClash.Explicit.SimIO
ReadSClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readsClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
readsPrecClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RealClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RealFloatClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RealFracClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
realToFracClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RecClash.XException.Internal
recipClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
reduceAndClash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
reduceAnd#Clash.Sized.Internal.BitVector
reduceOrClash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
reduceOr#Clash.Sized.Internal.BitVector
reduceXorClash.Class.BitPack.BitReduction, Clash.Prelude.BitReduction, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
reduceXor#Clash.Sized.Internal.BitVector
RegClash.Explicit.SimIO
regClash.Explicit.SimIO
regEn 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
register 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
register#Clash.Signal.Internal
registerB 
1 (Function)Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.Safe, Clash.Prelude
registerPowerup#Clash.Signal.Internal
regMaybe 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
remClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rem# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
4 (Function)Clash.Sized.Internal.Index
RenderAsClash.Verification.Internal, Clash.Explicit.Verification, Clash.Verification
repeatClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
replaceClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
replaceBitClash.Class.BitPack.BitIndex, Clash.Prelude.BitIndex, Clash.Class.BitPack, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
replaceBit#Clash.Sized.Internal.BitVector
replaceTreeClash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
replayClash.Signal.Trace
replicateClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
Reset 
1 (Type/Class)Clash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
2 (Data Constructor)Clash.Signal.Internal
resetGenClash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resetGenNClash.Signal.Internal, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resetGlitchFilterClash.Explicit.Reset, Clash.Signal, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResetKindClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resetKindClash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Explicit.Reset, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResetPolarityClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resetPolarityClash.Signal.Internal.Ambiguous, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResetStrategyClash.Explicit.BlockRam, Clash.Prelude.BlockRam, Clash.Explicit.Prelude, Clash.Prelude
resetSynchronizerClash.Explicit.Reset, Clash.Signal, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResizeClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resizeClash.Class.Resize, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
resize# 
1 (Function)Clash.Sized.Internal.Unsigned
2 (Function)Clash.Sized.Internal.Signed
3 (Function)Clash.Sized.Internal.Index
resizeFClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResizeFCClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResizeSFCClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResizeUFCClash.Sized.Fixed, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
ResolveOrErrClash.Class.HasDomain.HasSpecificDomain
returnClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
reverseClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rewindClash.Explicit.SimIO
RightClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
riseEvery 
1 (Function)Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.Safe, Clash.Prelude
RisingClash.Signal.Internal, Clash.Explicit.Signal, Clash.Signal, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RnfArgsClash.XException.Internal
RnfArgs0Clash.XException.Internal
RnfArgs1Clash.XException.Internal
rnfXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rom 
1 (Function)Clash.Explicit.ROM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM, Clash.Prelude.Safe, Clash.Prelude
rom#Clash.Explicit.ROM
romBlob 
1 (Function)Clash.Explicit.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM.Blob, Clash.Prelude.Safe, Clash.Prelude
romBlob#Clash.Explicit.ROM.Blob
romBlobPow2 
1 (Function)Clash.Explicit.ROM.Blob, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM.Blob, Clash.Prelude.Safe, Clash.Prelude
romFile 
1 (Function)Clash.Explicit.ROM.File, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM.File, Clash.Prelude
romFile#Clash.Explicit.ROM.File
romFilePow2 
1 (Function)Clash.Explicit.ROM.File, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM.File, Clash.Prelude
romPow2 
1 (Function)Clash.Explicit.ROM, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Prelude.ROM, Clash.Prelude.Safe, Clash.Prelude
rotateClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateLClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateL# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
rotateLeftClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateLeftSClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateRClash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateR# 
1 (Function)Clash.Sized.Internal.BitVector
2 (Function)Clash.Sized.Internal.Unsigned
3 (Function)Clash.Sized.Internal.Signed
rotateRightClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
rotateRightSClash.Sized.Vector, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
roundClash.HaskellPrelude, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RTreeClash.Sized.RTree, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
runUntil 
1 (Function)Clash.Explicit.Signal, Clash.Explicit.Prelude.Safe, Clash.Explicit.Prelude
2 (Function)Clash.Signal, Clash.Prelude.Safe, Clash.Prelude
rwhnfXClash.XException, Clash.Explicit.Prelude.Safe, Clash.Prelude.Safe, Clash.Explicit.Prelude, Clash.Prelude
RxReg 
1 (Type/Class)Clash.Examples.Internal
2 (Data Constructor)Clash.Examples.Internal
rx_busyClash.Examples.Internal
rx_cntClash.Examples.Internal
rx_d1Clash.Examples.Internal
rx_d2Clash.Examples.Internal
rx_dataClash.Examples.Internal
rx_emptyClash.Examples.Internal
rx_frame_errClash.Examples.Internal
rx_over_runClash.Examples.Internal
rx_regClash.Examples.Internal
rx_sample_cntClash.Examples.Internal