netlist-to-vhdl: Convert a Netlist AST to VHDL

[ bsd3, language, library ] [ Propose Tags ]

Convert a Netlist AST to VHDL

Modules

[Index]

Flags

Automatic Flags
NameDescriptionDefault
base4

Compile using base-4 instead of base-3

Enabled

Use -f <flag> to enable a flag, or -f -<flag> to disable that flag. More info

Downloads

Maintainer's Corner

Package maintainers

For package maintainers and hackage trustees

Candidates

  • No Candidates
Versions [RSS] 0.2, 0.3.1, 0.3.2, 0.3.3
Dependencies base (>=3 && <5), netlist (>=0.3.1 && <0.4), pretty (>=1.0) [details]
License BSD-3-Clause
Copyright Copyright (c) 2010 University of Kansas
Author Garrin Kimmell
Maintainer garrin.kimmell@gmail.com
Category Language
Source repo head: git clone git://github.com/ku-fpg/netlist.git
this: git clone git://github.com/ku-fpg/netlist.git(tag netlist-to-vhdl-0.3.2)
Uploaded by GergoErdi at 2018-04-06T20:37:37Z
Distributions Fedora:0.3.3, NixOS:0.3.3
Reverse Dependencies 2 direct, 3 indirect [details]
Downloads 3410 total (14 in the last 30 days)
Rating (no votes yet) [estimated by Bayesian average]
Your Rating
  • λ
  • λ
  • λ
Status Docs available [build log]
Last success reported on 2018-04-14 [all 1 reports]